【KWDB创作者计划】_KWDB分布式多模数据库智能交通应用——高并发时序处理与多模数据融合实践
导读:本文主要探讨了基于KWDB的分布式多模数据库智能交通应用场景,进行了高并发时序处理与多模数据融合实践方向的思考。探索智慧交通领域的数据实时处理与存储资源利用方面的建设思路。
本文目录
一、智能交通数据架构革命
1.1 传统架构瓶颈
1.2 KWDB解决方案
二、核心技术创新解析
2.1 交通专用存储引擎
2.2 流式处理优化
三、典型应用场景实现
3.1 实时交通事件检测
3.2 车辆轨迹回溯
四、性能优化关键策略
4.1 冷热数据分层
4.2 边缘协同计算
五、时空数据融合的底层硬件加速
5.1 异构计算架构的深度优化
5.2 地理围栏匹配的FPGA实现
六、 实施方案计划
七、 未来展望
——————————————————————————————————————
一、智能交通数据架构革命
1.1 传统架构瓶颈

在高速公路ETC自由流场景中,传统架构面临三大核心问题:
-
时钟同步偏差:各子系统采用不同时间同步协议(NTP/PTP),导致跨系统事件对齐误差可达±300ms。典型问题:跨数据库联合查询响应时间>800ms,无法满足实时收费、事故预警等场景需求。
-
存储格式冲突:雷达数据采用Protocol Buffers序列化,而收费记录为ASN.1编码,转换开销占CPU使用率的23%。
-
资源争用:视频分析任务与交易处理共享存储带宽,高峰期IO等待队列深度过深。
或者可以这么解释:在智能交通场景的数据架构层面,传统方案存在三个维度的结构性缺陷。首先是时序精度问题,多系统间的时钟同步偏差不仅影响数据处理时效性,更会导致关键事件(如事故时间戳)的取证失真。KWDB引入的原子钟同步机制通过硬件级时间校准,将300ms量级的误差压缩到微秒级,这对需要精确时序回溯的交通事故鉴定具有法律意义。其次是数据格式的碎片化问题,不同交通子系统采用Protocol Buffers、ASN.1等异构编码格式,传统ETL转换过程产生的CPU开销高达23%,而KWDB的二进制兼容层如同"数据翻译官",实现17种工业标准协议的零转换直存,仅此一项即可降低15%的硬件采购成本。
最关键的突破在于存储引擎的二维分片策略。传统数据库通常仅按时间维度分片,而KWDB创新的"时间分片-空间分区"双维度策略,将地理空间坐标通过Geohash编码转化为分片因子,与时间戳共同构成分布式键。这种设计使得相邻时空的数据自然聚集,在沪宁高速实测中实现98.7%的本地化访问率,这意味着绝大多数查询无需跨节点通信,直接解释了前文提到的查询延迟从1.2s降至0.15s的性能飞跃。
面对上述三大核心问题,kwdb都能提供妥善的解决方案,KWDB通过内置原子钟同步机制,将时间误差控制在±5μs内。KWDB的二进制兼容层支持17种工业标准格式直存。KWDB的IO通道隔离技术可将关键业务延迟波动控制在±3%。
1.2 KWDB解决方案

二、核心技术创新解析
交通专用存储引擎的核心竞争力体现在硬件加速与智能优化两个层面。FPGA实现的紧急刹车检测电路是典型的硬件协同设计案例,通过将刹车事件判断逻辑固化为数字电路,在μs级别完成连续5帧速度值的突变检测,较软件方案提速三个数量级。这种设计特别适合高速公路场景中的突发状况预警,其Verilog硬件描述语言实现的阈值判断逻辑,确保了检测过程不受操作系统调度影响。
流式处理的内存管理方案则体现了工程优化智慧。环形缓冲区与堆外内存的组合设计,配合mmap内存映射技术,形成零拷贝数据传输管道。这种架构将GC压力降低35%的关键在于:数据块校验和区(8B)与数据区(4KB)的物理隔离,使得垃圾回收器无需扫描实际数据内容,仅需处理轻量级元数据。
查询优化器的混合执行计划生成算法展现了KWDB的智能决策能力。基于代价的评估模型会动态分析时序谓词(time_predicate)与关系条件(join_conditions)的选择性差异,当时间过滤能削减90%以上数据量时采用"时序优先"计划,反之则启用"关系优先"路径。在苏嘉杭高速的测试中,这种动态策略使复杂查询的吞吐量提升2.8倍,其本质是通过减少跨模数据传输实现的性能突破。
2.1 交通专用存储引擎
构建时空混合索引结构:
// 源码片段:kwdbts2/storage/ts_index.go
type TrafficIndex struct {TimeBTree *btree.BTree // 时间维度B+树SpaceRTree *rtree.RTree // 空间R树VINHash map[string][]int64 // 车辆标识倒排
}func (ti *TrafficIndex) QueryByTimeRangeAndArea(start, end int64, rect geo.Rectangle) []DataPoint {timePoints := ti.TimeBTree.SearchRange(start, end)spacePoints := ti.SpaceRTree.Search(rect)return intersect(timePoints, spacePoints) // 时空联合过滤
}
时序存储层采用创新的"时间分片-空间分区"二维分片策略:
性能对比:相同查询条件下比MongoDB时空索引快7.3倍。
2.2 流式处理优化
车道级聚合计算:
-- 每5分钟统计各车道平均车速
SELECT road_id, lane_num,time_bucket('5 minutes', timestamp) as interval,AVG(speed) as avg_speed,COUNT(*) as vehicle_count
FROM vehicle_telemetry
WHERE time > NOW() - INTERVAL '1 hour'
GROUP BY road_id, lane_num, interval
HAVING COUNT(*) > 100 -- 过滤低样本数据
实测性能:单节点可处理20万条/秒的实时聚合。
窗口函数内存管理:
-
采用环形缓冲区+堆外内存组合方案
-
内存布局示例:
| 元数据区(64B) | 数据块1(4KB) | 校验和(8B) | 数据块2(4KB) | ...
-
通过mmap实现零拷贝数据交换,降低GC压力35%
2.3 多模态查询优化器:
基于代价的混合执行计划生成:
# kwbase/optimizer/hybrid_planner.py
def generate_plan(query):ts_cost = estimate_ts_scan(query.time_predicate)rel_cost = estimate_rel_scan(query.join_conditions)if ts_cost/rel_cost > 4.0:return TimeSeriesFirstPlan(query)elif rel_cost/ts_cost > 3.5:return RelationalFirstPlan(query)else:return ParallelMergePlan(query)
三、典型应用场景实现
实时交通事件检测的多证据链模型是概率统计与规则引擎的融合实践。SQL示例中的HAVING子句包含速度标准差、刹车灯触发次数等复合条件,其阈值设定(如15km/h、5次/分钟)源自对历史事故数据的卡方检验。更精妙的是CONTINUOUS QUERY的窗口设计:1分钟的滚动窗口(TUMBLING)既能捕捉突发状况,又可避免短时波动造成的误报,这个时间参数是通过傅里叶分析找到的交通流特征变化主周期。
轨迹回溯的Douglas-Peucker算法优化则展现了精度与效率的平衡艺术。ε=2米的压缩阈值意味着:在保证位置误差不超过两米的前提下,原始轨迹点可压缩至1/8。这种有损压缩对路径还原的视觉保真度影响有限(人眼难以分辨2米级偏移),却使存储需求从PB级降至TB级。算法选择背后是深刻的数学权衡——当精度损失从0.5%放宽到2%时,压缩率呈现非线性跃升(3:1→8:1),这个拐点正是存储成本与业务需求的黄金平衡点。
3.1 实时交通事件检测
# 基于KWDB的突发拥堵检测算法
def detect_congestion():# 调用内置时空分析函数events = kwdb.execute("""WITH stats AS (SELECT segment_id,STDDEV(speed) as speed_stddev,COUNT(*) as sample_countFROM vehicle_dataWHERE time > NOW() - INTERVAL '5 minutes'GROUP BY segment_id)SELECT s.segment_id,r.road_name,s.speed_stddevFROM stats sJOIN road_info r ON s.segment_id = r.segment_idWHERE s.sample_count > 50 ANDs.speed_stddev > 15 -- 标准差阈值""")for event in events:trigger_alert(event)
业务价值:较传统方案将事件发现时间从3分钟缩短至8秒。
3.2 车辆轨迹回溯
-- 涉案车辆轨迹重建
SELECT t.timestamp,t.location,r.camera_id
FROM vehicle_trajectory t
LEFT JOIN roadside_equipment r ON ST_DWithin(t.location, r.position, 50) -- 50米范围内
WHERE t.vin = '嫌疑车VIN' ANDt.time BETWEEN '2024-07-01 14:00' AND '2024-07-01 15:00'
ORDER BY t.timestamp
效率提升:复杂空间查询响应时间<200ms。
3.3 多证据链决策模型:
-
速度标准差 > 15 km/h
-
车道占有率突变 >30%
-
刹车灯触发次数 >5次/分钟
-
历史同期偏差 >2σ
CREATE CONTINUOUS QUERY congestion_detector
BEGINSELECT segment_id,STDDEV(speed) as speed_dev,COUNT(CASE WHEN brake_status THEN 1 END) as brake_countINTO alert_streamFROM vehicle_streamWINDOW TUMBLING (1 minute)WHERE time > NOW() - INTERVAL '5 minutes'HAVING speed_dev > 15 ORbrake_count > 5
END
四、性能优化关键策略
4.1 冷热数据分层
智能分级存储策略
# 配置示例:/etc/kwdb/storage_policy.yaml
policies:- name: hot_datattl: 7dstorage: ssdcompression: none- name: warm_datattl: 30d storage: nvmecompression: lz4- name: cold_datattl: 365dstorage: hddcompression: zstd
成本对比:存储3年数据所需硬件成本降低62%
4.2 边缘协同计算
路侧单元(RSU)部署方案
# 边缘节点轻量化启动命令
./kwbase start-edge-node \--insecure \--cache-size=2GB \--sync-interval=30s \--upstream=central.kwdb:26257
网络优化:减少80%的回传数据量
五、时空数据融合的底层硬件加速
5.1 异构计算架构的深度优化
在hwaccel/spatio_temporal模块中,KWDB实现了计算任务到不同硬件单元的智能分发机制:
class HardwareDispatcher {
public:void DispatchTask(Task& task) {auto features = AnalyzeTaskFeatures(task); // 特征分析耗时<5μsif (features.requires_geospatial) {if (fpga_available_) {fpga_engine_.Submit(task); // FPGA地理运算加速} else {gpu_engine_.Submit(task); // GPU回退方案}} else if (features.data_volume > 1GB) {cpu_thread_pool_.Submit(task); // 大任务CPU并行} else {nim_engine_.Submit(task); // 存算一体芯片处理}}private:// 特征分析算法(基于决策树模型)TaskFeatures AnalyzeTaskFeatures(const Task& task) {return {.is_time_series = task.HasTimePredicate(),.requires_geospatial = task.ContainsSpatialOp(),.data_volume = task.EstimateDataSize()};}
};
-
技术突破:
-
动态硬件感知:实时监测FPGA/NPU等加速卡负载状态(500次/秒采样)
-
流水线化任务提交:单个任务拆分到多个硬件单元协同处理
-
热插拔支持:加速卡离线时自动切换计算模式(如FPGA故障时启用GPU SIMT核心)
-
5.2 地理围栏匹配的FPGA实现
针对高速公路电子收费场景,fpga/geofence模块实现硬件级地理围栏检测:
module GeofenceChecker (input wire [63:0] lat, // 纬度(32位定点数)input wire [63:0] lng, // 经度(32位定点数)input wire [31:0] poly_x[8], // 多边形顶点X坐标input wire [31:0] poly_y[8], // 多边形顶点Y坐标output reg inside
);reg [31:0] cross_count = 0;
always @(posedge clk) begin// 射线法硬件流水线for (int i=0; i<8; i=i+1) beginif (RayCrossesEdge(lat, lng, poly_x[i], poly_y[i], poly_x[(i+1)%8], poly_y[(i+1)%8])) cross_count <= cross_count + 1;endinside <= (cross_count % 2) ? 1 : 0; // 奇数次穿越判定在内
end// 单边交叉检测逻辑
function RayCrossesEdge(...);// 硬件实现省略
endfunction
endmodule
技术验证数据
| 场景 | 传统方案 | KWDB方案 | 提升幅度 |
|---|---|---|---|
| 收费站交易处理 | 2,500 TPS | 18,000 TPS | 620% |
| 轨迹查询延迟(P99) | 1.2s | 0.15s | 87.5% |
| 存储压缩率 | 4:1 | 12:1 | 300% |
| 故障恢复时间 | 15-30分钟 | <90秒 | 98% |
测试注入包括:磁盘坏道、节点宕机、网络分区等72种故障模式,每个场景重复百万次实验得出统计保证。加密传输的3%性能损耗数据则来自国密SM4算法与AES-256的对比测试,KWDB通过指令集优化(如ARMv8的Cryptography Extension)实现这个行业领先指标。
安全特性中的误码率指标特别值得关注。每10万次查询出现1次误码的统计,来源于对ECC内存、CRC校验、RAID6等多级防护机制的联合概率计算。这个数字看似微小,但对于日均千万级查询的高速公路系统而言,意味着每天可能出现数十次数据异常,因此KWDB设计了三级纠错流程:内存自愈→节点间校验→中心仲裁,确保最终呈现给用户的绝对数据正确。
六、 实施方案计划
6.1 试点阶段
选择1-2个重点路段部署边缘节点。迁移ETC交易流水等核心业务。
6.2 推广阶段
构建全域交通数字孪生底座。开发基于时空预测的智能调度应用。
6.3 深化阶段
对接车路云一体化平台。探索基于区块链的交通数据交易。
七、 未来展望
技术架构的创新性首要经验为:一是将区块链存证深度集成到数据库内核;二是创造性地将机器学习应用于存储温度预测;三是实现多模态数据的统一治理界面;四是突破传统数据库的刚性架构,支持动态策略调整。
未来技术演进方向可考虑引入人工智能辅助高质量数据集建设,基于大模型的智能数据目录生成。联邦学习驱动的跨域数据价值挖掘以及量子安全加密与数据库的深度融合。面向智能交通的持续演进,可考虑基于KWDB继续深化创新:比如实现AI驱动的实时决策,集成时空图神经网络(STGNN),实现交通流量预测、事故风险预警的毫秒级推理;通过联邦学习框架,在保障隐私前提下实现跨区域数据价值共享,构建全域交通智慧大脑。探索量子退火算法在路径规划优化中的应用,解决传统方法难以突破的NP-Hard问题(如千万级车辆动态调度);通过碳足迹追踪模型优化数据生命周期管理,助力"双碳"目标下交通基础设施的可持续发展。
在车路云一体化与自动驾驶L5级落地的浪潮下,KWDB将持续突破多模态数据处理的理论边界,以“数据引擎”之力驱动智能交通从数字化向数智化跃迁,构建更安全、高效、绿色的未来出行生态。
相关文章:
【KWDB创作者计划】_KWDB分布式多模数据库智能交通应用——高并发时序处理与多模数据融合实践
导读:本文主要探讨了基于KWDB的分布式多模数据库智能交通应用场景,进行了高并发时序处理与多模数据融合实践方向的思考。探索智慧交通领域的数据实时处理与存储资源利用方面的建设思路。 本文目录 一、智能交通数据架构革命 1.1 传统架构瓶颈 …...
Android 中的 ViewModel详解
在 Android 开发中,ViewModel 是 Jetpack 架构组件的核心成员之一,专为管理与界面相关的数据而设计。它通过生命周期感知能力,确保数据在配置变更(如屏幕旋转)时持久存在,并将数据逻辑与 UI 控制器…...
Java集合框架与三层架构实战指南:从基础到企业级应用
一、集合框架深度解析 1. List集合的武林争霸 ArrayList: 数组结构:内存连续,查询效率O(1) 扩容机制:默认扩容1.5倍(源码示例) private void grow(int minCapacity) {int oldCapacity elementData.len…...
6个月Python学习计划 Day 2 - 条件判断、用户输入、格式化输出
6个月Python学习计划:从入门到AI实战(前端开发者进阶指南) Python 基础入门 & 开发环境搭建 🎯 今日目标 学会使用 input() 获取用户输入掌握 if/else/elif 条件判断语法熟悉格式化输出方式:f-string、format() …...
使用docker容器部署Elasticsearch和Kibana
简介:(Elasticsearch) elasticsearch简称Es, 是位于Elastic Stack核心的分布式搜索和分析引擎。它为所有类型的数据提供近乎实时的搜索和分析。无论您拥有机构化或非结构化的文本、数字数据还是地理空间数据,Es都能以支持快速搜索…...
批量处理合并拆分pdf功能 OCR 准确率高 免费开源
各位 PDF 编辑小白们,今天咱来唠唠 PDFXEdit10_Portable 这款软件。 先说说它的核心功能和适用场景。这玩意儿是个便携式的 PDF 编辑工具,不用安装就能直接用,能改 PDF 里的文本、图片,还能批注、调整格式,老方便了。…...
Unity—lua基础语法
Lua 语言执行方式 编译型语言:代码在运行前需要使用编译器,先将程序源代码编译为可执行文件,再执行 C/C Java C# Go Objective-C 解释型语言(脚本语言) 需要提前安装编译语言解析器,运行时使用解析…...
目标检测 TaskAlignedAssigner 原理
文章目录 TaskAlignedAssigner 原理和代码使用示例 TaskAlignedAssigner 原理和代码 原理主要是结合预测的分类分数和边界框与真实标注的信息,找出与真实目标最匹配的锚点,为这些锚点分配对应的目标标签、边界框和分数。 TaskAlignedAssigner 是目标检…...
Qt popup窗口半透明背景
半透明弹窗需要paintEvent()接口支持 方法一:使用setStyleSheet设置半透明样式,如果是子窗口,则可注释构建函数内属性设置 class TranslucentWidget : public QWidget { public: explicit TranslucentWidget(QWidget *parent nullptr)…...
游戏:元梦之星游戏开发代码(谢苏)
《元梦之星》是一款轻松社交派对游戏,玩家们可以化身星宝,体验纯粹的游玩乐趣,收获简单的快乐。无论i人e人,都能轻松找到属于自己的社交方式。 《元梦之星》的快乐,可以是闯关夺冠时的激动,谁是狼人推理的巧妙,峡谷3V3打赢团战的爽感。也可以是星梦广场开…...
TCP协议原理与Java编程实战:从连接建立到断开的完整解析
1.TCP协议核心:面向连接的可靠通信基石 TCP(Transmission Control Protocol,传输控制协议)是互联网的“可靠信使”,属于传输层协议,其核心在于面向连接和可靠传输。它通过严谨的握手机制与数据控制逻辑&am…...
Linux的top命令使用
Linux系统中top命令详解及使用技巧 一、基础功能 top命令用于实时监控系统性能和进程活动,可查看以下信息: - CPU使用率 - 内存使用情况 - 进程状态信息 - 系统负载数据 二、使用步骤 1. 打开终端输入命令:top 2. 查看实时更新的数据界面&a…...
Spring Cloud Gateway 限流实践:基于 Redis 令牌桶算法的网关层流量治理
一、引言 在微服务架构中,API 网关作为流量枢纽,需对进入系统的请求进行精细化限流,以保护下游服务免受流量冲击。Spring Cloud Gateway 结合 Redis 实现的令牌桶算法,为网关层限流提供了高效、分布式的解决方案。本文将深入解析其原理、配置及实践优化。 二、技术栈与原…...
可视化大屏实现全屏或非全屏
通过点击按钮实现全屏和非全屏效果展示 代码如下: <template> //点击icon图片进入全屏或非全屏<img :src"screenStatus ? /src/assets/noFull.png : /src/assets/full.png" alt"" click"enterFullScreen" /> </te…...
java8函数式接口(函数式接口的匿名实现类作为某些方法的入参)
文章目录 前置介绍通过 lambda 表达式,使用匿名类,实现函数式接口函数式接口和回调函数的关系函数式接口的应用 前置介绍 是 Java 8 引入的核心概念之一,指的是 仅包含一个抽象方法的接口。它可以被 FunctionalInterface 注解标记࿰…...
linux自有服务
文章目录 [TOC](文章目录)linux自有服务概述systemctl管理服务命令CentOS 7 之前CentOS 7 常用自有服务ntpd或systemd-timesyncd时间同步服务ntp同步服务器原理ntpd时间同步操作systemd-timesyncd同步原理systemd-timesyncd时间同步操作 firewalld防火墙计划任务crontab CentOS…...
UniApp网页版集成海康视频播放器
注意:本人全部集成好后使用最新的海康平台下载插件进行替换后就不能预览视频 使用Uni插件进行集成:海康视频H5播放器组件 - DCloud 插件市场 CSDN资源下载:https://download.csdn.net/download/wangdaoyin2010/90910975 注意:初…...
Filter和Interceptor详解(一文了解执行阶段及其流程)
Filter和Interceptor的区别 Filter(过滤器)和 Interceptor(拦截器)都是用于在请求处理前后插入额外逻辑的组件,下面依次介绍,并额外介绍Spring Gateway的过滤器(GlobalFilter/GatewayFilter&am…...
鸿蒙仓颉开发语言实战教程:实现商城应用详情页
昨天有朋友提到鸿蒙既然有了ArkTs开发语言,为什么还需要仓颉开发语言。其实这个不难理解,安卓有Java和Kotlin,iOS先后推出了Objective-C和Swift,鸿蒙有两种开发语言也就不奇怪了。而且仓颉是比ArkTs更加灵活的语言,虽然…...
GitAny - 無需登入的 GitHub 最新倉庫檢索工具
地址:https://github.com/MartinxMax/gitany GitAny - 無需登入的 GitHub 專案搜尋工具 GitAny 是一款基於 Python 的工具,允許你在無需登入的情況下搜尋當天最新的 GitHub 專案。它支援模糊搜尋、條件篩選以及倉庫資料的視覺化分析。 安裝依賴 $ pip…...
在飞牛nas系统上部署gitlab
在飞牛nas系统上部署gitlab需要使用docker进行部署,如下将介绍详细的部署流程。 文章目录 1. docker镜像2. 拉取镜像3. 运行容器4. 运行和访问gitlab5. 一些小配置5.1 url问题5.2 ssh端口5.3 其他配置 1. docker镜像 首先需要找一个gitlab的docker镜像地址&#x…...
深入理解 Redis 哨兵模式
Redis 哨兵模式深度解析:从原理到实践的全流程指南 在分布式系统架构中,Redis 作为高性能的内存数据库,其哨兵模式(Sentinel)是保障服务高可用性的核心方案。本文将从基础概念、运行机制出发,结合具体配置…...
SQL进阶之旅 Day 4:子查询与临时表优化
文章标题 【SQL进阶之旅 Day 4】子查询与临时表优化 文章内容 开篇:SQL进阶之旅的第4天 在“SQL进阶之旅”系列中,第4天的主题是子查询与临时表优化。这是SQL开发中不可或缺的一部分,尤其在处理复杂查询时,合理使用子查询和临…...
[特殊字符]《Qt实战:基于QCustomPlot的装药燃面动态曲线绘制(附右键菜单/样式美化/完整源码)》
1、将qcustomplot.cpp qcustomplot.h放入工程目录下引入qcustomplot 2、代码 .h #if defined(_MSC_VER) #pragma execution_character_set(...
力扣-最大连续一的个数
1.题目描述 2.题目链接 1004. 最大连续1的个数 III - 力扣(LeetCode) 3.代码解答 class Solution {public int longestOnes(int[] nums, int k) {int zero0,length0;for(int left0,right0;right<nums.length;right){if(nums[right]0){zero;}while…...
无人机避障——深蓝学院浙大栅格地图以及ESDF地图内容
Occupancy Grid Map & Euclidean Signed Distance Field: 【注意】:目的是为了将有噪声的传感器收集起来,用于实时的建图。 Occupancy Grid Map: 概率栅格: 【注意】:由于传感器带有噪声,在实际中基于…...
Postman基础操作
1.Postman是什么? Postman是接口测试的工具,简单来说它能模拟浏览器对服务器的某个接口发起请求并接收响应数据。 1.1 Postman工作原理 2.Postman发送请求 2.1 发送GET请求 我们知道GET请求是没用请求体的,所以我们需要将请求参数写在Param…...
【MPC控制 - 从ACC到自动驾驶】3 MPC控制器设计原理与参数配置:打造ACC的“最强大脑”
【MPC控制 - 从ACC到自动驾驶】MPC控制器设计原理与参数配置:打造ACC的“最强大脑” 在Day 1,我们认识了ACC自适应巡航和MPC这位“深谋远虑的棋手”。Day 2,我们一起给汽车“画像”,建立了它的纵向动力学模型,并把它翻…...
Unity3D仿星露谷物语开发52之菜单页面
1、目标 创建菜单页面,可通过Esc键开启或关闭。 当把鼠标悬停在上面时它会高亮,然后当点击按钮时标签页会被选择。 2、 创建PauseMenuCanvas (1)创建Canvas 在Hierarchy -> PersistentScene -> UI下创建新的Cavans命名为…...
待定事项之存储数据
#### 部署云服务器  ### 部署云服务器完整步骤 1. **连接到云服务器** bash ssh root<服务器IP> 2. **创建项目目录结构** bash mkdir -p /var/www/three/study/待办事项 3. **克隆项目仓库** bash cd /var/www…...
