Modelsim 使用教程(3)——Projects
目录
一、概述
二、设计文件及tb
2.1 设计文件 counter.v
2.2 仿真文件 tcounter.v
三、操作流程
3.1 Create a New Project(创建一个新的工程)
3.2 Add Objects to the Project(把代码加入项目)
3.3 Compile the Design(编译设计)
3.4 Optimize for Design Visibility(优化设计可见性)
3.5 Load the Design(加载设计)
3.6 Organizing Projects with Folders(用文件夹组织项目)
3.6.1 Adding Folders(添加文件夹)
3.6.2 Moving Files to Folders(把文件移动到文件夹中)
3.7 Using Simulation Configurations(使用仿真配置)
四、总结
一、概述
在本文中,我们将练习创建一个项目。项目至少包含一个存储在.mpf文件中的工作库和一个会话状态。一个项目也可以包括:
- HDL源文件或对源文件的引用
- 其他文件,如README或其他项目文档
- 本地库
- 对全局库的引用
二、设计文件及tb
2.1 设计文件 counter.v
// Copyright 1991-2014 Mentor Graphics Corporation
//
// All Rights Reserved.
//
// THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
// MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
// `timescale 1ns / 1ns
module counter (count, clk, reset);
output [7:0] count;
input clk, reset;reg [7:0] count;
parameter tpd_reset_to_count = 3;
parameter tpd_clk_to_count = 2;function [7:0] increment;
input [7:0] val;
reg [3:0] i;
reg carry;beginincrement = val;carry = 1'b1;/* * Exit this loop when carry == zero, OR all bits processed */ for (i = 4'b0; ((carry == 4'b1) && (i <= 7)); i = i+ 4'b1)beginincrement[i] = val[i] ^ carry;carry = val[i] & carry;endend
endfunctionalways @ (posedge clk or posedge reset)if (reset)count = #tpd_reset_to_count 8'h00;elsecount <= #tpd_clk_to_count increment(count);
/*****************************************************************
Use the following block to make the design synthesizable.
always @ (posedge clk or posedge reset)if (reset)count = 8'h00;elsecount <= count + 8'h01;
******************************************************************/
endmodule
2.2 仿真文件 tcounter.v
//
// Copyright 1991-2014 Mentor Graphics Corporation
//
// All Rights Reserved.
//
// THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
// MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
// `timescale 1ns / 1ns
module test_counter;reg clk, reset;
wire [7:0] count;counter dut (count, clk, reset);initial // Clock generatorbeginclk = 0;forever #10 clk = !clk;endinitial // Test stimulusbeginreset = 0;#5 reset = 1;#4 reset = 0;endinitial$monitor($stime,, reset,, clk,,, count); endmodule
三、操作流程
3.1 Create a New Project(创建一个新的工程)
我们将通过定义项目设置来开始创建一个新项目的过程。
1、创建一个新的目录,并将本文的设计文件复制到其中
2、打开Modelsim
3、创建新project。
(1)选择File > New > Project
这将打开“创建项目”对话框,您可以在其中输入项目名称、项目位置(即目录)和默认库名称。您还可以从选定的.ini文件中引用库设置,或将它们直接复制到项目中。默认库是编译的设计单元所在的位置。
(2)设置 Project 名称为 test
(3)点击Browse来设定Project Location,选择项目存储的地点
(4)保留默认库名称设置为work
(5)点击OK
3.2 Add Objects to the Project(把代码加入项目)
点击OK后,将出现一个空白的项目窗口和“将项目添加到项目”对话框。
在对话框中,您可以创建新的设计文件、添加现有文件、添加组织文件夹,或创建模拟配置(下面讨论)。
1、选择Add Existing File
把我们的两个文件 counter.v 和 tcounter.v 加载进来
3.3 Compile the Design(编译设计)
1、编译文件
(1)选择Compile > Compile All,ModelSim将编译这两个文件,并将“状态”列中的符号更改为绿色复选标记。复选标记表示编译成功。如果编译失败,该符号将是一个红色的“X”,您将在文本窗口中看到一个错误消息。
2、查看设计单元
3.4 Optimize for Design Visibility(优化设计可见性)
设计优化有助于减少仿真时间。
1、使用vopt命令优化设计,使所有设计单元完全可见。
vopt +acc test_counter -o testcounter_opt
3.5 Load the Design(加载设计)
1、加载test_counter.v文件
使用如下命令
vsim testcounter_opt
此时,你通常会像上一课那样运行仿真、分析或调试你的设计。现在,您将继续处理该项目。但是,首先需要结束加载test_counter时开始的仿真。
2、结束仿真
选择Simulate > End Simulation
3.6 Organizing Projects with Folders(用文件夹组织项目)
如果你有很多文件要添加到一个项目中,那么你可能希望将它们组织在文件夹中。你可以在添加文件之前或之后创建文件夹。
如果在添加文件之前创建文件夹,则可以指定添加文件时要放置文件的文件夹(参见下图中的文件夹字段)。
如果在添加文件后创建了文件夹,则编辑文件属性将其移动到该文件夹。
3.6.1 Adding Folders(添加文件夹)
1、添加一个新文件夹
在project窗口右击选择Add to Project > Folder
2、添加一个子文件夹
在project窗口右击选择Add to Project > Folder,文件夹命名为HDL,在Folder Location 选择时选择Design Files作为顶层。
3.6.2 Moving Files to Folders(把文件移动到文件夹中)
把两个文件都移动到我们新创建的文件夹中,右击文件(例:counter.v),选择 Properties,修改配置如下(修改Place in Floder):
用这样的方式把两个文件都放到我们新创建的文件夹中。
所选的文件将被移动到HDL文件夹中。单击HDL文件夹旁边的“+”图标以查看这些文件。这些文件现在被标记为“?”因为你移动了文件。该项目不再知道以前的编译是否仍然有效。
3.7 Using Simulation Configurations(使用仿真配置)
仿真配置将设计单元及其仿真选项关联起来。例如,假设每次加载tcounter.v时,都要将仿真器频率分辨率设置为皮秒(ps),并启用事件危险检查。通常,每次加载设计时都必须指定这些选项。使用仿真配置,您可以为设计指定选项,然后保存一个与设计及其选项关联起来的“配置”。然后在“project”窗口中列出配置,您可以双击它来加载tcounter.v及其选项。
1、创建一个新的仿真配置
在“project”窗口中右键单击并进行选择 Add to Project > Simulation Configuration
这将打开“添加模拟配置”对话框。此对话框中的选项卡中显示了几个模拟选项。您可能想要探索这些选项卡看看有什么是可用的。您可以查阅ModelSim用户手册,以获得每个选项的描述。
完成配置修改如下:
重新编译一下两个.v文件
2、加载仿真配置
在project界面下双击counter仿真配置文件
在主窗口的文字记录窗口中,vsim(ModelSim模拟器)调用显示了-hazards和-t ps开关。这些是在仿真对话框中指定的命令行选项。
接下来就可以进行我们想要进行的项目仿真分析了,这里不过多赘述。
四、总结
本文中,我们介绍了在Modelsim里对于完整项目进行仿真的过程,介绍了如何在Modelsim创建工程,添加源代码,编译设计,优化设计,通过文件夹来组织设计以及仿真配置的相关内容。
相关文章:

Modelsim 使用教程(3)——Projects
目录 一、概述 二、设计文件及tb 2.1 设计文件 counter.v 2.2 仿真文件 tcounter.v 三、操作流程 3.1 Create a New Project(创建一个新的工程) 3.2 Add Objects to the Project(把代码加入项目) 3.3 Compile the …...

pytorch复现3_GoogLenet
背景: GoogLeNeta是2014年提出的一种全新的深度学习结构,在这之前的AlexNet、VGG等结构都是通过增大网络的深度(层数)来获得更好的训练效果,但层数的增加会带来很多负作用,比如overfit、梯度消失、梯度爆炸等。GoogLeNet通过引入i…...

CH09_重新组织数据
拆分变量(Split Variable) 曾用名:移除对参数的赋值(Remove Assignments to Parameters) 曾用名:分解临时变量(Split Temp) let temp 2 * (height width); console.log(temp); t…...

最新 IntelliJ IDEA 旗舰版和社区版下载安装教程(图解)
🌷🍁 博主猫头虎(🐅🐾)带您 Go to New World✨🍁 🦄 博客首页——🐅🐾猫头虎的博客🎐 🐳 《面试题大全专栏》 🦕 文章图文…...

优化 FPGA HLS 设计
优化 FPGA HLS 设计 用工具用 C 生成 RTL 的代码基本不可读。以下是如何在不更改任何 RTL 的情况下提高设计性能。 介绍 高级设计能够以简洁的方式捕获设计,从而减少错误并更容易调试。然而,经常出现的问题是性能权衡。在高度复杂的 FPGA 设计中实现高性…...

LVGL库入门 01 - 样式
一、LVGL样式概述 1、创建样式 在 LVGL 中,样式都是以对象的方式存在,一个对象可以描述一种样式。每个控件都可以独立添加样式,创建的样式之间互不影响。 可以使用 lv_style_t 类型创建一个样式并初始化: static lv_style_t s…...

酷克数据出席永洪科技用户大会 携手驱动商业智能升级
10月27日,第7届永洪科技全国用户大会在北京召开。酷克数据作为国内云原生数仓代表企业,受邀出席本次大会,全面展示了云数仓领域最新前沿技术,并进行主题演讲。 携手合作 助力企业释放数据价值 数据仓库是商业智能(BI…...

英语教育目标转变:更加注重实际应用能力培养
今年九月份,北京市教委发布了《关于深入推进高中阶段学校考试招生改革的实施意见》。按照该意见,北京市2024年初三年级学生的初中学业水平考试英语科目听力口语考试与笔试将分离,首次计算机考试将于2023年12月17日进行。 根据《意见》规定,听力口语计算机考试共有两次考试机会…...

Java中的继承和多态
目录 1. 继承 1.1 为什么需要继承 1.2 继承概念 1.3 继承的语法 1.4 父类成员访问 1.4.1 子类中访问父类的成员变量 1.4.2 子类中访问父类的成员方法 1.5 super关键字 1.6 子类构造方法 1.7 super和this 1.8 再谈初始化 1.9 protected 关键字 1.10 继承方式…...

海外问卷调查现在还可以做吗?
可以做,海外问卷调查是一个稳定长期的互联网创业项目。 大家好,我是橙河,这篇文章讲一讲海外问卷调查现在还可以做吗? 海外问卷调查,简单来说,就是外国的商业公司对外发放的付费调查问卷,按照…...

CA证书与服务器证书
服务器证书和CA证书是网络通信中使用的两种重要的证书。服务器证书是用于验证服务器身份的证书,而CA证书是用于验证证书颁发机构(Certificate Authority)身份的证书。 服务器证书是由网站服务器申请并由CA机构颁发的。它包含了服务器的公钥和其他相关信息ÿ…...

AI智能语音识别模块(二)——基于Arduino的语音控制MP3播放器
文章目录 简介离线语音控制模块Mini MP3模块0.96寸 OLED模块实验准备安装库接线定义主要程序实验效果注意事项总结 简介 在前面一篇文章里我们对AI智能语音识别模块进行了介绍,并对离线语音模组下载固件的过程进行了一个简单描述,不知道大家还记不记得&…...

CentOS部署Minikube
基本介绍 Minikube是本地的Kubernetes,专注于使其易于为Kubernete学习和开发。 官方地址:https://minikube.sigs.k8s.io/docs/start/ 部署安装 # CentOS 7.6# 前置条件:安装好Docker或其他容器引擎或虚拟机 参见《CentOS一键部署Docker》…...

第5章_排序与分页
文章目录 1 排序数据1.1 排序规则1.2 单列排序1.3 多列排序排序演示代码 2 分页2.1 背景2.2 实现规则2.3 拓展分页演示代码 3 课后练习 1 排序数据 1.1 排序规则 使用 ORDER BY 子句排序 ASC(ascend): 升序DESC(descend):降序 …...

Elasticsearch实战:常见错误及详细解决方案
Elasticsearch实战:常见错误及详细解决方案 1.read_only_allow_delete":“true” 当我们在向某个索引添加一条数据的时候,可能(极少情况)会碰到下面的报错: {"error": {"root_cause": [{&…...

C#添加缓存,删除缓存,修改缓存
在C#中,可以使用内置的缓存功能或者使用第三方缓存库来管理缓存。下面分别介绍使用内置缓存功能和使用第三方缓存库的方法。 使用内置缓存功能: 添加缓存: 在C#中,可以使用MemoryCache类来添加缓存。以下是一个简单的示例&…...

PADS Router的操作页面及鼠标指令介绍
PADS Router的用户界面由菜单栏,工作界面,一般工具栏,状态栏,项目浏览器组,输出窗口,电子表格组成(图1): 图1 注意:如果你的界面没有显示项目浏览器ÿ…...

Android studio进入手机调试状态
首先usb插入电脑手机打开开发者模式进入点击就会在你的页面显示了...

《Pytorch新手入门》第二节-动手搭建神经网络
《Pytorch新手入门》第二节-动手搭建神经网络 一、神经网络介绍二、使用torch.nn搭建神经网络2.1 定义网络2.2 torch.autograd.Variable2.3 损失函数与反向传播2.4 优化器torch.optim 三、实战-实现图像分类(CIFAR-10数据集)3.1 CIFAR-10数据集加载与预处理3.2 定义网络结构3.3…...

C++ 模板学习笔记
C另外一种编程成为 泛型编程 ,主要利用的技术就是模板 C提供两种模板机制:函数模板和类模板 C11中,函数模板和类模板都可以设定默认参数,传送门 函数模板 一般 typename 和 class 没有区别,typename 还有个作用是使…...

1、Flink基础概念
1、基础知识 (1)、数据流上的有状态计算 (2)、框架和分布式处理引擎,用于对无界和有界数据流进行有状态计算。 (3)、事件驱动型应用,有数据流就进行处理,无数据流就不…...

分享一下怎么做小程序营销活动
小程序营销活动已经成为现代营销的必备利器,它能够帮助企业提高品牌知名度、促进产品销售,以及加强与用户的互动。然而,要想成功地策划和执行一个小程序营销活动,需要精心设计和全面规划。本文将为您介绍小程序营销活动的策划和执…...

Laravel 后台管理 Dcat Admin 使用记录
Laravel Dcat Admin 安装配置修改配置表格操作 Ajax 结合 Pjax 更新数据状态表格 链接表单设置页面(通常修改更新在同一页面)表单 安装配置 安装文档地址 框架版本 Laravel 8.* 修改配置 修改 admin.php 文件 return [// 后台名称name > DAD后台管理,// 标题title > 后台…...

c语言基础:L1-070 吃火锅
以上图片来自微信朋友圈:这种天气你有什么破事打电话给我基本没用。但是如果你说“吃火锅”,那就厉害了,我们的故事就开始了。 本题要求你实现一个程序,自动检查你朋友给你发来的信息里有没有 chi1 huo3 guo1。 输入格式&#x…...

java spring boot 注解、接口和问题解决方法(持续更新)
注解 RestController 是SpringMVC框架中的一个注解,它结合了Controller和ResponseBody两个注解的功能,用于标记一个类或者方法,表示该类或方法用于处理HTTP请求,并将响应的结果直接返回给客户端,而不需要进行视图渲染…...

HMAC_SHA1加密算法和SHA1加密算法的区别
HMAC_SHA1加密算法和SHA1加密算法的区别 应用场景:SHA1目前主要用于政府部门和私营业主处理敏感信息,被视为最先进的加密技术。而HMAC_SHA1主要用于基于密钥的消息认证码(HMAC)运算,需要一个密钥作为输入。密钥需求&a…...

Ubuntu连不上WiFi 或者虽然能连上校园网,但是浏览器打不开登录页面
写在前面 自己的电脑环境: Ubuntu20.04 一、问题描述 自己的 Ubuntu 遇到连接不上 除校园网之外的其他WiFi, 或者 虽然能连上校园网,但是浏览器打不开登录页面的问题。 二、解决方法 出现这种问题的原因可能是 之前开过VPN, 导致系统的网络设置出现…...

Maven第八章:如何解决Maven的jar版本冲突
Maven第八章:如何解决Maven的jar版本冲突 前言 本文重点讲解Maven依赖冲突原因,maven依赖原则以及如何利用idea Maven Helper插件分析解决问题。 背景 开发过程中引入第三方jar遇到依赖冲突的,非常影响开发,甚至大部分时间都在调试版本兼容。 Caused by:java.lang.NoSuch…...

c# 读写内存映射文件
在C#中,可以使用System.IO.MemoryMappedFiles命名空间中的MemoryMappedFile类来操作内存映射文件。可以创建不固定大小的内存映射文件,具体步骤如下: 1. 先创建一个初始大小为0的内存映射文件,使用MemoryMappedFile.CreateNew方法…...

行业揭秘:腾讯共享wifi码推广零加盟费是真的吗?
近年来,“共享经济”概念在商业领域取得了巨大成功。共享WiFi贴码成为共享经济的一种典型案例,被越来越多的人看作是一种低风险、高回报的投资方式。而在这个市场中,腾讯WiFi码推广以“零加盟费”而备受关注。本文将探讨腾讯WiFi码推广零加盟…...