当前位置: 首页 > news >正文

数字IC笔试千题解--判断题篇(五)

前言

出笔试题汇总,是为了总结秋招可能遇到的问题,做题不是目的,在做题的过程中发现自己的漏洞,巩固基础才是目的。

所有题目结果和解释由笔者给出,答案主观性较强,若有错误欢迎评论区指出,资料整理来自于“数字IC打工人”等数字IC相关公众号,牛客网等网站真题、网络笔试真题及面经抄录。

保持更新(2023.9.25)文章内含 单选题270道、多选题106道、填空题16道、判断题17道、简答题72道、逻辑推理题3道、C语言 python 脚本编程题8道
在本文中笔者提供的所有代码,都写成了API,可直接copy到软件编译、运行、给出结果。  

        题目较多,即使有前人解析和强大的ChatGPT,也难免出错,若发现错误,欢迎评论区讨论。

        另外夹带一点私货~: 这一刻,我感觉一定要给.....
数字IC笔试千题解总字数已到达15w+,网页码字卡顿情况严重,故将其分割成多个部分以方便维护,链接如下:
数字IC笔试千题解--单选题篇(一)
数字IC笔试千题解--单选题篇(二)
​​​​​​数字IC笔试千题解--多选题篇(三)​​​​​​
数字IC笔试千题解--填空题篇(四)
数字IC笔试千题解--判断题篇(五)
数字IC笔试千题解--简答题篇(六)
数字IC笔试千题解--逻辑推理篇(七)
​​​​​​​​​​​​​​ 数字IC笔试千题解--编程&&脚本篇(八)


判断题

1. 可以通过两级触发器防止亚稳态传播,也可以用来同步多bit信号。

答案:错误。同步多bit信号,使用两级触发器在传输过程中,多个位的变化可能不是同时发生的。因此同步多bit信号,通常采用格雷码+两级同步的方式来保证每次数据跳变都只改变1bit。


2. 时钟域A的多bit信号一定要经过同步才能被时钟域B采用。

答案:错误。表述太过绝对,当时钟域A下的多bit信号能够稳定被时钟域B采集时,可以不同步,题目表述太过绝对。


3. 如果DFF的hold时间不满足,可以通过降低时钟频率来解决。

答案:错误。降低时钟频率无法解决hold violation,可以改善setup violation。


4. 假定没有毛刺产生,异步复位信号可以不管时钟,只要复位信号满足条件,就能完成复位动作。

答案:错误。异步复位信号是一种用于将电路恢复到其初始状态的信号,不依赖于时钟信号。当异步复位信号被激活时,电路将被强制进入其初始状态,无论时钟信号是否存在。

即使没有毛刺产生,异步复位信号也需要满足一些条件,例如保证信号的稳定性和正确性,以及在电路中的正确实现。使用异步复位信号时,需要考虑异步复位信号可能带来的不良影响,例如在复位期间可能出现的电路振荡或不稳定行为。


5. 状态机没有冗余状态时,可以不写default状态。

答案:错误。即使状态机没有冗余状态,也应该编写一个默认状态,以处理未定义的输入或其他异常情况。默认状态可能只是一个简单的错误处理状态,但是它可以提高状态机的健壮性和可靠性。


6. 状态机如果状态未列全,不需要使用default状态。

答案:错误。状态没列全,更要使用default。


7. #UDLY语句可以被综合成延时电路

答案:错误。延时语句不可综合。


8. 中断指示寄存器由实时告警的状态触发,是只读寄存器

答案:正确。

中断指示寄存器是一个只读寄存器,它的状态由硬件设备或中断控制器触发,并用于指示处理器当前需要响应的中断请求。通常情况下,中断指示寄存器的状态只能被读取,不能被写入,因为它的状态是由硬件设备自动设置的,处理器不能主动地改变它的状态。一些处理器可能会提供一些控制信号,允许软件清除中断指示寄存器中的某些标志位,但这些标志位的设置通常是由硬件设备自动完成的,处理器不能直接改变它们的状态。因此,中断指示寄存器通常是一个只读寄存器。


9. 如果两个时钟的频率不同,则两个时钟为异步时钟

答案:错误。相位差固定,以及时钟频率比为整数倍的时钟也可称为同步时钟。


10. 用于逻辑的真假判断,结果为单比特,0或1。!用于数据按位取反,结果是单个或多个比特

答案:错误。按位取反操作符为“~”,“!”为逻辑取反,结果只能是单bit。


11. 异步电路都不需要STA进行约束检查。

答案:错误。异步电路可以下set_false_path约束,也可以下set_max_delay和set_min_delay约束,都属于时序约束,STA需要进行检查的。


12. 单bit电平信号使用级联三触发器电路跨异步时钟城可以消除亚稳态。

答案:亚稳态不可消除和避免,只可以降低其影响。


13. 时序逻辑电路按照其触发器是否有统一的时钟控制分为同步时序电路和异步时序电路。

答案:正确。


14. 设计异步FIFO时,FIFO深度必须是2的整数次幕,才能使用格雷码

答案:错误。FIFO深度可以不是2的整数次幂,使用格雷码。


15. 基于Verilog HDL,时钟或复位信号可以出现在赋值表达式中。

答案:正确。可以,clock gating。


16. 线型信号必须显式定义

答案:错误。Verilog程序模块中输入、输出信号类型默认自动定义为wire型


17. 编码中可以使用显式端口映射,也可以使用位置端口映射,位置端口映射方式更好。

答案:错误。显示映射更好,不受端口声明顺序的影响。哪怕例化的模块修改了端口的顺序,也不影响例化结果。位置映射则不行。


18.logic [1:0] a; logic [1:0] b; logic result; a=2'b1z; b=2'b10; result=(a==b);在sv中,上述代码执行完后,result的值为1'b0

答案:错误,在 SystemVerilog 中,当一个逻辑变量包含 Z 值时,它的值是不确定的,无法与任何其他逻辑值进行比较。因此,当 a 变量包含 Z 值时,a==b 的结果是x(未知)而非 true 或 false。


19.无复位寄存器会引入不定态,因此设计中禁止使用无复位寄存器

答案:错误。无复位寄存器是指在设计中没有明确的复位信号来将寄存器的状态清零。在某些情况下,如果没有适当的处理,无复位寄存器可能会引入不确定性,因为寄存器的状态在上电时会是未知的,从而导致不确定的行为。

在某些低功耗、低成本、不需要高可靠性的电路中,使用无复位寄存器可能是可以接受的。在这些情况下,开发人员必须仔细考虑系统的可靠性和风险,以确定是否可以使用无复位寄存器。此外,还应该采取其他措施来确保寄存器状态的确定性,例如使用特殊的电路设计、添加额外的保护电路等。


20.验证环境中提到的参考模型(Reference Model)只支持不带时序的功能建模,不支持时序建模

答案:错误。参考模型可以支持不带时序的功能建模,也可以支持时序建模。时序建模是指将参考模型与时序信息相关联,以模拟设计中的时序行为。在这种情况下,参考模型需要考虑时序问题,包括时钟、时序限制和数据传输时间等。通过将参考模型与时序信息相关联,可以更准确地描述设计规格的行为,从而提高验证的精度和效率。


21.如果该class会被继承,则该class所有定义的function/task都需要加virtual

答案:错误,如果一个函数或任务不打算在子类中被重写,那么不需要将其声明为 virtual


22.generate for循环语句中使用的标尺变量可定义为integer

答案:错误,只能为genvar。


23.Interface 中可以定义信号、函数、任务、class对象;也可以有always,initial语句块

答案:正确。


24.a1和a2的检查效果完全一样:

property p1:

@(posedge clk) a|=>b|=>c;

endproperty

a1: assert property(p1);

property p2:

@(posedge clk)a ##1 b ##1 |-> c;

endproperty

a2: assert property(p2);

答案:正确。

@ (posedge clk) a |=> b — 断定clk上升沿后,a事件开始发生,下一个时钟沿后,b事件开始发生。

@ (posedge clk) a |-> b — 断定clk上升沿后,a事件“开始发生”,同时,b事件发生。


25.漏电流(Leakage Current)与逻辑电路设计的工作频率无关

答案:正确。漏电流(Leakage Current)与逻辑电路设计的工作频率无关,它是指在逻辑电路处于关闭状态(所有开关均处于关断状态)时,电路内部的电流,通常来自于漏电晶体管的反向漏电流,因为晶体管是PN结组成,具有反向漏电的特性。


相关文章:

数字IC笔试千题解--判断题篇(五)

前言 出笔试题汇总,是为了总结秋招可能遇到的问题,做题不是目的,在做题的过程中发现自己的漏洞,巩固基础才是目的。 所有题目结果和解释由笔者给出,答案主观性较强,若有错误欢迎评论区指出,资料…...

Kubernetes(k8s)上搭建一主两从的mysql8集群

Kubernetes上搭建一主两从的mysql8集群 环境准备搭建nfs服务器安装NFS暴露nfs目录开启nfs服务器 安装MySQL集群创建命名空间创建MySQL密码的Secret安装MySQL主节点创建pv和pvc主节点的配置文件部署mysql主节点 安装第一个MySQL Slave节点创建pv和pvc第一个从节点配置文件部署my…...

MySQL备份与恢复

MySQL备份与恢复一、备份1、数据备份的重要性2、数据备份分类2.1 物理备份2.2 逻辑备份 3、数据库备份策略4、常用的备份方法和工具5、数据库上云迁移 二、数据库完全备份1、简介2、物理冷备份与恢复2.1 物理冷备份2.2 备份恢复2.3 补充知识date 3、mysqldump备份与恢复3.1 完全…...

【RTOS学习】单片机中的C语言

🐱作者:一只大喵咪1201 🐱专栏:《RTOS学习》 🔥格言:你只管努力,剩下的交给时间! 本喵默认各位小伙伴都会C语言,我们平时学习C语言都是在Windows环境下学习的&#xff0…...

确知波束形成matlab仿真

阵列信号处理中的导向矢量 假设一均匀线性阵列,有N个阵元组成,满足:远场、窄带假设。 图1. 均匀线性阵模型 假设信源发射信号,来波方向为 θ \theta θ,第一个阵元接收到的信号为 x ( t ) x(t) x(t),则第…...

并发编程相关面试题

线程基础 线程和进程的区别: ----------------------------------------------------------------------- 创建线程的方式: 1 继承Thread类 2 实现runnable接口 3 实现callable 接口(有返回值的) 4 线程池创建线程 ------…...

Cpp/Qt-day050921Qt

目录 实现使用数据库的登录注册功能 头文件: registrwidget.h: widget.h: 源文件: registrwidget.c: widget.h: 效果图: 思维导图 实现使用数据库的登录注册功能 头文件: registrwidget.h: #ifndef REGISTRWIDGET_H #de…...

视频汇聚/视频云存储/视频监控管理平台EasyCVR分发rtsp流起播慢优化步骤详解

安防视频监控/视频集中存储/云存储/磁盘阵列EasyCVR平台可拓展性强、视频能力灵活、部署轻快,可支持的主流标准协议有国标GB28181、RTSP/Onvif、RTMP等,以及支持厂家私有协议与SDK接入,包括海康Ehome、海大宇等设备的SDK等。平台既具备传统安…...

ElementUI之登陆+注册->饿了吗完成用户登录界面搭建,axios之get请求,axios之post请求,跨域,注册界面

饿了吗完成用户注册登录界面搭建axios之get请求axios之post请求跨域 1.饿了吗完成用户注册登录界面搭建 将端口号8080改为8081 导入依赖,在项目根目录使用命令npm install element-ui -S,添加Element-UI模块 -g:将依赖下载node_glodal全局依…...

2023华为杯研究生数学建模研赛E题出血脑卒中完整论文(含28个详细预处理数据及结果表格)

大家好呀,从发布赛题一直到现在,总算完成了全国研究生数学建模竞赛(数模研赛)E题完整的成品论文。 本论文可以保证原创,保证高质量。绝不是随便引用一大堆模型和代码复制粘贴进来完全没有应用糊弄人的垃圾半成品论文。…...

Java中的继承是什么?

在Java中,继承是一种面向对象编程的概念,它允许一个类(称为子类或派生类)继承另一个类(称为父类或基类)的属性和方法。通过继承,子类可以获得父类的属性和方法,并且可以添加自己的特…...

Python - flask后端开发笔记

​ Flask入门 有一篇很全面的博客可以参考:Python Flask Web 框架入门 跨域问题处理 from flask_cors import CORS CORS(app,supports_credentialsTrue,origins[url], # 前端url列表 ) 文件发送 from flask import send_from_directory app.route(/download) …...

Flutter实现PS钢笔工具,实现高精度抠图的效果。

演示: 代码: import dart:ui;import package:flutter/material.dart hide Image; import package:flutter/services.dart; import package:flutter_screenutil/flutter_screenutil.dart; import package:kq_flutter_widgets/widgets/animate/stack.dart…...

苏宁滑块验证

网址:https://passport.suning.com/ids/login总结一下,别被他的表面现象给骗了,这玩意儿,个人认为,腾讯的都没法跟他比!!! 难点:动态混淆,vmp,图片…...

c语言。。。

gcc thread.c -lpthread -o app -fexec-charsetgbkthread.c为当前目录下编写的c代码 代码中引入了<pthread.h>线程库&#xff0c;所以要加上-lpthread -o app 输出.exe的c可执行文件&#xff0c;文件名为app -fexec-charsetgbk 设置编码方式&#xff0c;防止控制台输出中…...

vue-cli创建项目、vue项目目录结(运行vue项目)、ES6导入导出语法、vue项目编写规范

vue-cli创建项目、vue项目目录结构、 ES6导入导出语法、vue项目编写规范 1 vue-cli创建项目 1.1 vue-cli 命令行创建项目 1.2 使用vue-cli-ui创建 2 vue项目目录结构 2.1 运行vue项目 2.2 vue项目的目录结构 3 es6导入导出语法 4 vue项目编写规范 4.1 修改项目 4.2 以后…...

QT读取DLL加载算法

有这样一个场景&#xff0c;我有一个GUI软件&#xff0c;把他想象成PS软件&#xff0c;集成了很多工具。现在我要添加新算法(PS工具)&#xff0c;该怎么办&#xff1f; 有三种办法&#xff1a; 第一种我把新算法代码加到项目中&#xff0c;编译整个项目。 第二种&#xff0c;新…...

HTTPX-用于Python的下一代HTTP客户端

1、前言 在使用 Python 进行接口自动化时&#xff0c;大多数都会使用 requests 模块&#xff0c;requests 是一个常用的 HTTP 请求库&#xff0c;可以方便地向网站发送 HTTP 请求&#xff0c;并获取响应结果。 本篇将介绍 Python 的下一代 HTTP 客户端 - HTTPX 2、简介 HTT…...

[LLM+AIGC] 01.应用篇之中文ChatGPT初探及利用ChatGPT润色论文对比浅析(文心一言 | 讯飞星火)

近年来&#xff0c;人工智能技术火热发展&#xff0c;尤其是OpenAI在2022年11月30日发布ChatGPT聊天机器人程序&#xff0c;其使用了Transformer神经网络架构&#xff08;GPT-3.5&#xff09;&#xff0c;能够基于在预训练阶段所见的模式、统计规律和知识来生成回答&#xff0c…...

OCR -- 文本检测

目标检测&#xff1a; 不仅要解决定位问题&#xff0c;还要解决目标分类问题&#xff0c;给定图像或者视频&#xff0c;找出目标的位置&#xff08;box&#xff09;&#xff0c;并给出目标的类别&#xff1b; 文本检测&#xff1a; 给定输入图像或者视频&#xff0c;找出文本的…...

2025年能源电力系统与流体力学国际会议 (EPSFD 2025)

2025年能源电力系统与流体力学国际会议&#xff08;EPSFD 2025&#xff09;将于本年度在美丽的杭州盛大召开。作为全球能源、电力系统以及流体力学领域的顶级盛会&#xff0c;EPSFD 2025旨在为来自世界各地的科学家、工程师和研究人员提供一个展示最新研究成果、分享实践经验及…...

相机Camera日志实例分析之二:相机Camx【专业模式开启直方图拍照】单帧流程日志详解

【关注我&#xff0c;后续持续新增专题博文&#xff0c;谢谢&#xff01;&#xff01;&#xff01;】 上一篇我们讲了&#xff1a; 这一篇我们开始讲&#xff1a; 目录 一、场景操作步骤 二、日志基础关键字分级如下 三、场景日志如下&#xff1a; 一、场景操作步骤 操作步…...

智能在线客服平台:数字化时代企业连接用户的 AI 中枢

随着互联网技术的飞速发展&#xff0c;消费者期望能够随时随地与企业进行交流。在线客服平台作为连接企业与客户的重要桥梁&#xff0c;不仅优化了客户体验&#xff0c;还提升了企业的服务效率和市场竞争力。本文将探讨在线客服平台的重要性、技术进展、实际应用&#xff0c;并…...

拉力测试cuda pytorch 把 4070显卡拉满

import torch import timedef stress_test_gpu(matrix_size16384, duration300):"""对GPU进行压力测试&#xff0c;通过持续的矩阵乘法来最大化GPU利用率参数:matrix_size: 矩阵维度大小&#xff0c;增大可提高计算复杂度duration: 测试持续时间&#xff08;秒&…...

docker 部署发现spring.profiles.active 问题

报错&#xff1a; org.springframework.boot.context.config.InvalidConfigDataPropertyException: Property spring.profiles.active imported from location class path resource [application-test.yml] is invalid in a profile specific resource [origin: class path re…...

认识CMake并使用CMake构建自己的第一个项目

1.CMake的作用和优势 跨平台支持&#xff1a;CMake支持多种操作系统和编译器&#xff0c;使用同一份构建配置可以在不同的环境中使用 简化配置&#xff1a;通过CMakeLists.txt文件&#xff0c;用户可以定义项目结构、依赖项、编译选项等&#xff0c;无需手动编写复杂的构建脚本…...

vue3 daterange正则踩坑

<el-form-item label"空置时间" prop"vacantTime"> <el-date-picker v-model"form.vacantTime" type"daterange" start-placeholder"开始日期" end-placeholder"结束日期" clearable :editable"fal…...

LLaMA-Factory 微调 Qwen2-VL 进行人脸情感识别(二)

在上一篇文章中,我们详细介绍了如何使用LLaMA-Factory框架对Qwen2-VL大模型进行微调,以实现人脸情感识别的功能。本篇文章将聚焦于微调完成后,如何调用这个模型进行人脸情感识别的具体代码实现,包括详细的步骤和注释。 模型调用步骤 环境准备:确保安装了必要的Python库。…...

沙箱虚拟化技术虚拟机容器之间的关系详解

问题 沙箱、虚拟化、容器三者分开一一介绍的话我知道他们各自都是什么东西&#xff0c;但是如果把三者放在一起&#xff0c;它们之间到底什么关系&#xff1f;又有什么联系呢&#xff1f;我不是很明白&#xff01;&#xff01;&#xff01; 就比如说&#xff1a; 沙箱&#…...

《信号与系统》第 6 章 信号与系统的时域和频域特性

目录 6.0 引言 6.1 傅里叶变换的模和相位表示 6.2 线性时不变系统频率响应的模和相位表示 6.2.1 线性与非线性相位 6.2.2 群时延 6.2.3 对数模和相位图 6.3 理想频率选择性滤波器的时域特性 6.4 非理想滤波器的时域和频域特性讨论 6.5 一阶与二阶连续时间系统 6.5.1 …...